SlideShare a Scribd company logo
CONTENTS
• Project Flow
• Introduction
• UART Design
• Baud Rate Generator
• Transmitter
• Receiver
• Block diagram of UART
• Simulation Result
• RTL Schematic
• Technology Schematic
• Reports
• Conclusion
• Future Work
• References
Project Flow
 Literature Survey.
 Design of Baud rate generator.
 Design of receiver and transmitter.
 Debugging of UART.
 Simulation.
 Synthesis.
 Verification.
 Implementation.
Introduction
 UART acronym for Universal Asynchronous
Receiver and Transmitter.
 Asynchronous Serial communication
protocol.
 Full Duplex communication.
 Used between the slow and the fast
peripheral devices.
Contd..
 Converts the bytes it gets from the computer
along parallel circuits to a single serial bit
stream for outbound transmission.
 For inbound transmission, converts the serial
bit stream to the bytes that the system
handles.
 Adds a parity bit after selection in outbound
transmissions, checks the parity of incoming
bytes (if selected) and rejects the parity bit.
UART Design
 A UART frame consists of 1 start bit, a number
of data bits, an optional parity bit and 1, 1.5, or 2
stop bits.
 Signal is 1 (high voltage) when the system is
idle.
 Start bit is 0 and stop bits are 1.
 LSB is first transmitted or received.
Contd..
 UART is composed of a Baud Rate Generator
(BRG), a receiver module, and a transmitter
module
 Designed by using Modular design approach.
Baud Rate Generator
 Baud rate: The number of bits transmitted per
second. frequently used baud rate: 9600,
19,200.
 n=
𝑓𝑐𝑙𝑘
𝐵𝑚𝑎𝑥×𝐶×2
Where
fclk: system clock Frequency
C: the number of samples per bit cell
Brmax: the maximum baud rate frequency
Contd..
 Multiplexer based designing of baud rate
generator is used.
RTL Code of Baud Rate
generator
module clk_div(input clk,rst,
output q)
reg [2:0]q1;
always@(posedge clk)
begin if(!rst)
q1<=3'b001;
else
q1<={q1[0],q1[2:1]};
end
assign q=q1[0];
endmodule
module counter_8(input clk,rst,
output reg [7:0]q);
always@(posedge clk)
begin
if(!rst)
q<=8'd0;
else
q<=q+1;
end
endmodule
Clock divide by n Divide by 256
Contd..
module mux_8(input [7:0]d,
input [2:0]sel,
output reg y);
always@(d,sel)
begin case(sel)
3'b000:y=d[0];
3'b001:y=d[1];
3'b010:y=d[2];
3'b011:y=d[3];
3'b100:y=d[4];
3'b101:y=d[5];
3'b110:y=d[6];
3'b111:y=d[7];
endcase
end
endmodule
module divide_by_8(input clk,rst,
output q);
reg [2:0]count;
always@(posedge clk,negedge
rst)
begin
if(!rst)
count<=3'd0;
else
count<=count+1;
end
assign q=count[2];
endmodule
Multiplexer Divide by 8
Block Diagram of Transmitter
 Logic diagram of the transmitter of a UART.
TDR
Transmitter Control
TE
Parity generator
1 P 0
1
TxD
TxC
Data Bus
SM of Transmitter
bct=10
Block Diagram of Receiver
 Logic diagram of the receiver of UART
FE OE PE RF
RDR
1 P RSR 0 Receiver Control
DATA BUS
RxD RxC
RcvS
R
SM of Receiver
Block Diagram
SIMULATION RESULTS
 Simulation result of baud
rate generator
Contd..
 Simulation result of UART
RTL SCHEMATIC
Technology Schematic
POST SYNTHESIS UTILIZATION
REPORT
POST IMPLEMENTATION
UTILIZATION REPORT
Power report
 There are 2 power report.
• Post synthesis
• Post route
 Two modes of power estimation
• Vector based
• Vector less
POST SYNTHESIS POWER
REPORT
POST IMPLEMENTATION
POWER REPORT
Conclusion
 UART Module is designed by using Verilog
HDL.
 Design is simulated and verified with the help
of output waveform in Xilinx Vivado HLS.
 The design code if fully synthesizable and has
no latch.
Future Work
 Verification of UART.
 Implementation on FPGA.
REFERENCES
 U. Nanda and S. K. Pattnaik, “Universal asynchronous
receiver and transmitter (uart),” in Advanced Computing
and Communication Systems (ICACCS), 2016 3rd
International Conference on, vol. 1. IEEE, 2016,pp. 1–5.
 Y.-y. Fang and X.-j. Chen, “Design and simulation of uart
serial communication module based on vhdl,” in Intelligent
Systems and Applications (ISA), 2011 3rd International
Workshop on. IEEE, 2011, pp. 1–4.
 G. B. Wakhle, I. Aggarwal, and S. Gaba, “Synthesis and
implementation of uart using vhdl codes,” in Computer,
Consumer and Control (IS3C), 2012 International
Symposium on. IEEE, 2012, pp. 1–3.
 Y. Wang, and K. Song, “A new approach to realize UART,”
Int’l Conf. on Elect. and Mech. Eng. and IT (EMEIT 2011),
Harbin, Heilongjiang, China, Aug. 2011.
407841208-Modular-UART.pptx design and architecture

More Related Content

PPTX
ESP32 IoT presentation @ dev.bg
Martin Harizanov
 
PDF
ARM Architecture
Dwight Sabio
 
PPT
Layout design on MICROWIND
vaibhav jindal
 
PPTX
Digital Signal Processors - DSP's
Hicham Berkouk
 
PPT
06. thumb instructions
balaji raja rajan Venkatachalam
 
PPT
Serial Peripheral Interface(SPI)
Dhaval Kaneria
 
PPTX
Static Noise margin
VLSI SYSTEM Design
 
PPTX
Key board interfacing with 8051
DominicHendry
 
ESP32 IoT presentation @ dev.bg
Martin Harizanov
 
ARM Architecture
Dwight Sabio
 
Layout design on MICROWIND
vaibhav jindal
 
Digital Signal Processors - DSP's
Hicham Berkouk
 
06. thumb instructions
balaji raja rajan Venkatachalam
 
Serial Peripheral Interface(SPI)
Dhaval Kaneria
 
Static Noise margin
VLSI SYSTEM Design
 
Key board interfacing with 8051
DominicHendry
 

What's hot (20)

PPTX
Difference Between Microprocessors and Microcontrollers
elprocus
 
PPTX
LCD Interacing with 8051
Sudhanshu Janwadkar
 
PPTX
Adaptive differential pcm
mpsrekha83
 
PPTX
SRAM
rohitladdu
 
PPTX
PLA Minimization -Testing
Dr.YNM
 
PPTX
EC6503 TLWG - Properties of Smith Chart
chitrarengasamy
 
PPTX
Comparison between the FPGA vs CPLD
Gowri Kishore
 
PDF
vlsi design flow
Anish Gupta
 
PPT
8051 serial communication-UART
Pantech ProLabs India Pvt Ltd
 
PPTX
UART(universal asynchronous receiver transmitter ) PPT
Sai_praneeth
 
PPT
Decimation in time and frequency
SARITHA REDDY
 
PDF
DSP Lab Manual (10ECL57) - VTU Syllabus (KSSEM)
Ravikiran A
 
PDF
Communication Protocols (UART, SPI,I2C)
Emertxe Information Technologies Pvt Ltd
 
PDF
Programmable Logic Array(PLA), digital circuits
warda aziz
 
PDF
Verilog lab manual (ECAD and VLSI Lab)
Dr. Swaminathan Kathirvel
 
PPTX
Sequential circuit design
Satya P. Joshi
 
PPT
Verilog tutorial
Maryala Srinivas
 
PPTX
Interfacing with peripherals: analog to digital converters and digital to ana...
NimeshSingh27
 
PPTX
Sap 2 OF MICROPROCESSOR
Apar Pramod
 
PDF
Serial communication in 8085
Nitin Ahire
 
Difference Between Microprocessors and Microcontrollers
elprocus
 
LCD Interacing with 8051
Sudhanshu Janwadkar
 
Adaptive differential pcm
mpsrekha83
 
PLA Minimization -Testing
Dr.YNM
 
EC6503 TLWG - Properties of Smith Chart
chitrarengasamy
 
Comparison between the FPGA vs CPLD
Gowri Kishore
 
vlsi design flow
Anish Gupta
 
8051 serial communication-UART
Pantech ProLabs India Pvt Ltd
 
UART(universal asynchronous receiver transmitter ) PPT
Sai_praneeth
 
Decimation in time and frequency
SARITHA REDDY
 
DSP Lab Manual (10ECL57) - VTU Syllabus (KSSEM)
Ravikiran A
 
Communication Protocols (UART, SPI,I2C)
Emertxe Information Technologies Pvt Ltd
 
Programmable Logic Array(PLA), digital circuits
warda aziz
 
Verilog lab manual (ECAD and VLSI Lab)
Dr. Swaminathan Kathirvel
 
Sequential circuit design
Satya P. Joshi
 
Verilog tutorial
Maryala Srinivas
 
Interfacing with peripherals: analog to digital converters and digital to ana...
NimeshSingh27
 
Sap 2 OF MICROPROCESSOR
Apar Pramod
 
Serial communication in 8085
Nitin Ahire
 
Ad

Similar to 407841208-Modular-UART.pptx design and architecture (20)

PPTX
Domine specification section on VLSI.pptx
vasudeva873639
 
PDF
Combinational logic circuit by umakant bhaskar gohatre
Smt. Indira Gandhi College of Engineering, Navi Mumbai, Mumbai
 
PPT
Unit 3 - Styles of Modeling-1 for resource management techniques
MrFanatic1
 
PDF
Verilog
abkvlsi
 
PDF
MPS W8-L2L3 Programming AVR Serial Port Ia (in C).pdf
saadbutt43215
 
DOCX
Uart
cs1090211
 
PPTX
Communication_Protocols[2][1].pptx on protocoals
AshishDogra34
 
PDF
Analog to Digital Converter
Ariel Tonatiuh Espindola
 
PPT
Lecture 10 _serial_communication
Md Rakibul islam chowdhury
 
PPT
Crash course in verilog
Pantech ProLabs India Pvt Ltd
 
PPTX
A Robust UART Architecture Based on Recursive Running Sum Filter for Better N...
Kevin Mathew
 
PPT
Ver2.ppt
GulAhmad16
 
PDF
Short Notes on Verilog and SystemVerilog
Jason J Pulikkottil
 
PDF
VTU 4TH SEM CSE MICROPROCESSORS SOLVED PAPERS OF JUNE-2014 & JUNE-2015
vtunotesbysree
 
PPT
Digital System Design-Synchronous Sequential Circuits
Indira Priyadarshini
 
PPTX
The System of Automatic Searching for Vulnerabilities or how to use Taint Ana...
Positive Hack Days
 
DOCX
15CS202-unitV.docx HDL CODE FOR II/IV JNTU GV
ssuser03e980
 
DOCX
HDL CODE FOR II/IV B.TECH STUDENTS JNTU GV
ssuser03e980
 
PDF
Computer Organization And Architecture lab manual
Nitesh Dubey
 
PPTX
Digital Fundamentals and Computer Architecture -1-agricultural-pathwayunder-f...
dajaf67911
 
Domine specification section on VLSI.pptx
vasudeva873639
 
Combinational logic circuit by umakant bhaskar gohatre
Smt. Indira Gandhi College of Engineering, Navi Mumbai, Mumbai
 
Unit 3 - Styles of Modeling-1 for resource management techniques
MrFanatic1
 
Verilog
abkvlsi
 
MPS W8-L2L3 Programming AVR Serial Port Ia (in C).pdf
saadbutt43215
 
Uart
cs1090211
 
Communication_Protocols[2][1].pptx on protocoals
AshishDogra34
 
Analog to Digital Converter
Ariel Tonatiuh Espindola
 
Lecture 10 _serial_communication
Md Rakibul islam chowdhury
 
Crash course in verilog
Pantech ProLabs India Pvt Ltd
 
A Robust UART Architecture Based on Recursive Running Sum Filter for Better N...
Kevin Mathew
 
Ver2.ppt
GulAhmad16
 
Short Notes on Verilog and SystemVerilog
Jason J Pulikkottil
 
VTU 4TH SEM CSE MICROPROCESSORS SOLVED PAPERS OF JUNE-2014 & JUNE-2015
vtunotesbysree
 
Digital System Design-Synchronous Sequential Circuits
Indira Priyadarshini
 
The System of Automatic Searching for Vulnerabilities or how to use Taint Ana...
Positive Hack Days
 
15CS202-unitV.docx HDL CODE FOR II/IV JNTU GV
ssuser03e980
 
HDL CODE FOR II/IV B.TECH STUDENTS JNTU GV
ssuser03e980
 
Computer Organization And Architecture lab manual
Nitesh Dubey
 
Digital Fundamentals and Computer Architecture -1-agricultural-pathwayunder-f...
dajaf67911
 
Ad

Recently uploaded (20)

PDF
CAD-CAM U-1 Combined Notes_57761226_2025_04_22_14_40.pdf
shailendrapratap2002
 
PPTX
22PCOAM21 Session 2 Understanding Data Source.pptx
Guru Nanak Technical Institutions
 
PDF
Introduction to Ship Engine Room Systems.pdf
Mahmoud Moghtaderi
 
PDF
20ME702-Mechatronics-UNIT-1,UNIT-2,UNIT-3,UNIT-4,UNIT-5, 2025-2026
Mohanumar S
 
PPTX
MT Chapter 1.pptx- Magnetic particle testing
ABCAnyBodyCanRelax
 
PDF
All chapters of Strength of materials.ppt
girmabiniyam1234
 
PDF
Advanced LangChain & RAG: Building a Financial AI Assistant with Real-Time Data
Soufiane Sejjari
 
PDF
top-5-use-cases-for-splunk-security-analytics.pdf
yaghutialireza
 
PDF
LEAP-1B presedntation xxxxxxxxxxxxxxxxxxxxxxxxxxxxx
hatem173148
 
PDF
67243-Cooling and Heating & Calculation.pdf
DHAKA POLYTECHNIC
 
PPTX
Chapter_Seven_Construction_Reliability_Elective_III_Msc CM
SubashKumarBhattarai
 
PDF
Packaging Tips for Stainless Steel Tubes and Pipes
heavymetalsandtubes
 
PPTX
FUNDAMENTALS OF ELECTRIC VEHICLES UNIT-1
MikkiliSuresh
 
PDF
67243-Cooling and Heating & Calculation.pdf
DHAKA POLYTECHNIC
 
PPTX
sunil mishra pptmmmmmmmmmmmmmmmmmmmmmmmmm
singhamit111
 
PDF
Machine Learning All topics Covers In This Single Slides
AmritTiwari19
 
PDF
settlement FOR FOUNDATION ENGINEERS.pdf
Endalkazene
 
PDF
EVS+PRESENTATIONS EVS+PRESENTATIONS like
saiyedaqib429
 
PDF
2010_Book_EnvironmentalBioengineering (1).pdf
EmilianoRodriguezTll
 
PPTX
database slide on modern techniques for optimizing database queries.pptx
aky52024
 
CAD-CAM U-1 Combined Notes_57761226_2025_04_22_14_40.pdf
shailendrapratap2002
 
22PCOAM21 Session 2 Understanding Data Source.pptx
Guru Nanak Technical Institutions
 
Introduction to Ship Engine Room Systems.pdf
Mahmoud Moghtaderi
 
20ME702-Mechatronics-UNIT-1,UNIT-2,UNIT-3,UNIT-4,UNIT-5, 2025-2026
Mohanumar S
 
MT Chapter 1.pptx- Magnetic particle testing
ABCAnyBodyCanRelax
 
All chapters of Strength of materials.ppt
girmabiniyam1234
 
Advanced LangChain & RAG: Building a Financial AI Assistant with Real-Time Data
Soufiane Sejjari
 
top-5-use-cases-for-splunk-security-analytics.pdf
yaghutialireza
 
LEAP-1B presedntation xxxxxxxxxxxxxxxxxxxxxxxxxxxxx
hatem173148
 
67243-Cooling and Heating & Calculation.pdf
DHAKA POLYTECHNIC
 
Chapter_Seven_Construction_Reliability_Elective_III_Msc CM
SubashKumarBhattarai
 
Packaging Tips for Stainless Steel Tubes and Pipes
heavymetalsandtubes
 
FUNDAMENTALS OF ELECTRIC VEHICLES UNIT-1
MikkiliSuresh
 
67243-Cooling and Heating & Calculation.pdf
DHAKA POLYTECHNIC
 
sunil mishra pptmmmmmmmmmmmmmmmmmmmmmmmmm
singhamit111
 
Machine Learning All topics Covers In This Single Slides
AmritTiwari19
 
settlement FOR FOUNDATION ENGINEERS.pdf
Endalkazene
 
EVS+PRESENTATIONS EVS+PRESENTATIONS like
saiyedaqib429
 
2010_Book_EnvironmentalBioengineering (1).pdf
EmilianoRodriguezTll
 
database slide on modern techniques for optimizing database queries.pptx
aky52024
 

407841208-Modular-UART.pptx design and architecture

  • 1. CONTENTS • Project Flow • Introduction • UART Design • Baud Rate Generator • Transmitter • Receiver • Block diagram of UART • Simulation Result • RTL Schematic • Technology Schematic • Reports • Conclusion • Future Work • References
  • 2. Project Flow  Literature Survey.  Design of Baud rate generator.  Design of receiver and transmitter.  Debugging of UART.  Simulation.  Synthesis.  Verification.  Implementation.
  • 3. Introduction  UART acronym for Universal Asynchronous Receiver and Transmitter.  Asynchronous Serial communication protocol.  Full Duplex communication.  Used between the slow and the fast peripheral devices.
  • 4. Contd..  Converts the bytes it gets from the computer along parallel circuits to a single serial bit stream for outbound transmission.  For inbound transmission, converts the serial bit stream to the bytes that the system handles.  Adds a parity bit after selection in outbound transmissions, checks the parity of incoming bytes (if selected) and rejects the parity bit.
  • 5. UART Design  A UART frame consists of 1 start bit, a number of data bits, an optional parity bit and 1, 1.5, or 2 stop bits.  Signal is 1 (high voltage) when the system is idle.  Start bit is 0 and stop bits are 1.  LSB is first transmitted or received.
  • 6. Contd..  UART is composed of a Baud Rate Generator (BRG), a receiver module, and a transmitter module  Designed by using Modular design approach.
  • 7. Baud Rate Generator  Baud rate: The number of bits transmitted per second. frequently used baud rate: 9600, 19,200.  n= 𝑓𝑐𝑙𝑘 𝐵𝑚𝑎𝑥×𝐶×2 Where fclk: system clock Frequency C: the number of samples per bit cell Brmax: the maximum baud rate frequency
  • 8. Contd..  Multiplexer based designing of baud rate generator is used.
  • 9. RTL Code of Baud Rate generator module clk_div(input clk,rst, output q) reg [2:0]q1; always@(posedge clk) begin if(!rst) q1<=3'b001; else q1<={q1[0],q1[2:1]}; end assign q=q1[0]; endmodule module counter_8(input clk,rst, output reg [7:0]q); always@(posedge clk) begin if(!rst) q<=8'd0; else q<=q+1; end endmodule Clock divide by n Divide by 256
  • 10. Contd.. module mux_8(input [7:0]d, input [2:0]sel, output reg y); always@(d,sel) begin case(sel) 3'b000:y=d[0]; 3'b001:y=d[1]; 3'b010:y=d[2]; 3'b011:y=d[3]; 3'b100:y=d[4]; 3'b101:y=d[5]; 3'b110:y=d[6]; 3'b111:y=d[7]; endcase end endmodule module divide_by_8(input clk,rst, output q); reg [2:0]count; always@(posedge clk,negedge rst) begin if(!rst) count<=3'd0; else count<=count+1; end assign q=count[2]; endmodule Multiplexer Divide by 8
  • 11. Block Diagram of Transmitter  Logic diagram of the transmitter of a UART. TDR Transmitter Control TE Parity generator 1 P 0 1 TxD TxC Data Bus
  • 13. Block Diagram of Receiver  Logic diagram of the receiver of UART FE OE PE RF RDR 1 P RSR 0 Receiver Control DATA BUS RxD RxC RcvS R
  • 16. SIMULATION RESULTS  Simulation result of baud rate generator
  • 22. Power report  There are 2 power report. • Post synthesis • Post route  Two modes of power estimation • Vector based • Vector less
  • 25. Conclusion  UART Module is designed by using Verilog HDL.  Design is simulated and verified with the help of output waveform in Xilinx Vivado HLS.  The design code if fully synthesizable and has no latch.
  • 26. Future Work  Verification of UART.  Implementation on FPGA.
  • 27. REFERENCES  U. Nanda and S. K. Pattnaik, “Universal asynchronous receiver and transmitter (uart),” in Advanced Computing and Communication Systems (ICACCS), 2016 3rd International Conference on, vol. 1. IEEE, 2016,pp. 1–5.  Y.-y. Fang and X.-j. Chen, “Design and simulation of uart serial communication module based on vhdl,” in Intelligent Systems and Applications (ISA), 2011 3rd International Workshop on. IEEE, 2011, pp. 1–4.  G. B. Wakhle, I. Aggarwal, and S. Gaba, “Synthesis and implementation of uart using vhdl codes,” in Computer, Consumer and Control (IS3C), 2012 International Symposium on. IEEE, 2012, pp. 1–3.  Y. Wang, and K. Song, “A new approach to realize UART,” Int’l Conf. on Elect. and Mech. Eng. and IT (EMEIT 2011), Harbin, Heilongjiang, China, Aug. 2011.