SlideShare a Scribd company logo
2
Most read
3
Most read
4
Most read
IJSRSET1844137 | Received : 10 March | Accepted : 18 March 2018 | March-April-2018 [(4) 4 : 369-375]
© 2018 IJSRSET | Volume 4 | Issue 4 | Print ISSN: 2395-1990 | Online ISSN : 2394-4099
Themed Section : Engineering and Technology
369
Analysis of Optimization Techniques for Low Power VLSI
Design
A.Deepika, Y. Priyanka
Asst.Prof, TKRCET, Hyderabad, Telangana, India
ABSTRACT
With shrinking technology, as power density (measured in watts per square millimetre) is raising at an
alarming rate, power management is becoming an important aspect for almost every category of design and
application. Reducing power consumption and over all on chip power management are the key challenges in
deep sub micro meter nodes due to increased complexity. Power management needs to be considered at very
early design stages. Also low-power techniques should to be employed at every design stage, from RTL
(Register Transfer Level) to GDSII. This survey paper describes the various strategies, methodologies and power
management techniques for low power VLSI circuits. Future challenges that must be met by designers to
designs low power high performance circuits are also discussed. State-of-the-art optimization methods at
different abstraction levels that target design of low power digital VLSI circuits are surveyed.
Keywords: Optimization, Low Power, Power Dissipation, Power Management
I. INTRODUCTION
In the past decades, the main focus of VLSI
designers were performance, area and design cost.
Power consumption was mostly of only secondary
importance relatively. However, this trend has
begun to change and, with major priority, power
consumption is given comparable importance to
speed and area.The advantage of utilizing
combination of low-power design techniques in
conjunction with low-power components is
more valuable now. Heat generation in high-end
computer products limits the feasible IC packaging
and performance of circuits and thus increases the
packaging and cooling costs. Heat pumped into
the room, the electricity consumed and the office
noise diminishes with low power VLSI
chipset. Requirements for lower power
consumption continue to increase significantly as
components become battery-powered, compact and
require complex functionality. At sub micro
meter process nodes, leakage power consumption
has joined switching activity as a primary power
management concern.
II. RELATED CONCEPTS
A. Power Dissipation Basics
Total power consumption by a CMOS device is given
by,
Pdissipation = Pstatic + Pdynamic + Pshort circuit..... (a)
Dynamic power or switching power is power
dissipated during charging or discharging of capacitors
and is described below[1] [2].
Pdyn = CL* Vdd2 *α * f ................................ (b)
Where CL : Load Capacitance is a function of fan-
out, wirelength, and transistor size,
Vdd: Supply Voltage, which has been dropping
with successive process nodes,
α: Activity
Factor
f :Clock Frequency, which is increasing at each
successive process node.
Short-circuit power dissipation occurs due to short circuit
current(Isc) that flows when both the NMOS and PMOS
International Journal of Scientific Research in Science, Engineering and Technology (ijsrset.com)
370
devices are simultaneously ‘on’ for a short time duration and
is given by the below equation,
Figure 1: Power Dissipation in CMOS
where Vth is threshold voltage, ‘W’ is transistor width and
‘L’ is transistor length Figure-1 shows the various components
responsible for power dissipation in CMOS.
B. Low Power Strategies
Low power designs strategies at various abstraction levels
are listed in table 1.
Effective power management is possible by using the
different strategies at various levels in VLSI Design
process. So designers need an intelligent approach for
optimizing power consumptions in designs.
C. Power Optimization Techniques
Table-2 describes low power techniques used at different
levels [3][4].
Table 2: Few low power techniques used today
III. POWER MANAGMENT STRAGTEGIES
Effective power management involves selection of
the right technology, the use of optimized libraries,
IP (intellectual property), and design methodology
[3].We survey state-of-art optimization methods at
different abstraction levels.
Inventory Management), POS (Point of Service),
ReSA (Retail Sales Audit) and RIB (Retail
Integration Bus).
A. Technology Level
Proper technology selection is one of the key
aspects of power management [3]. The goal of each
technology advancement is to improve
performance, density, and power consumption
more generalized form of scaling is used.
i. Using Multi-threshold Voltage
Multi-threshold CMOS (MTCMOS)is a method to
reduce standby leakage current in the circuit, with
the use of a high threshold apply to the MOS
device to de- couple the logic either fro m the
supply or ground during long idle periods, or sleep
states. The Figure 2 shows a MTCMOS circuit,
where the logic block is constructed using low
threshold devices and the Power/ground supply
given to the gate of the of the MTCOMS is a gated
by high threshold header/footer switch[5].
Figure 2: MTCMOS Circuit
International Journal of Scientific Research in Science, Engineering and Technology (ijsrset.com)
371
ii. Multi-supply Voltage (Voltage Islands)
Multi-Vdd is an effective method to reduce both
leakage and dynamic power, by assigning different
supply voltages to cells according to their timing
criticality. In a multi-Vdd design, cells of different
supply voltage are often grouped into small number
of voltage islands (each having a single supply
voltage), in order to avoid complex power supply
system and
excessive amount of level shifters. Low power
design
methodology which manages power, timing and
design cost by using multi-Vdd and voltage islands
has to be developed [5[6].
iii. Dynamic Voltage and Frequency Scaling
It allows host to dynamically switch its CPU
frequency depending on its load requirement. CPU
utilization is continuously monitored with the
DVFS algorithm determining any necessary
adjustment to the CPU‟s frequency with the goal
being to run the CPU at a lower frequency so that
it consumes less power. Example: If 2 GHz CPU is
sitting at
30% utilization then DVFS will reduce the
frequency of the CPU so it will operate nearer to its
600MHz frequency requirement including enough
headroom to accommodate a sudden increase in
CPU requirement[7][8][9][10].
B. Circuit Level
Transistor Sizing: The length-to-width ratio of
transistors determines the driving strength and
speed.On upsizing, gate delay decreases, however,
power dissipated in the gate increases. Further, the
delay of the fanin gates increases because of
increased load capacitance. Given a delay
constraint, finding an appropriate sizing of
transistors that minimizes power dissipation is a
computationally difficult problem. A typical
approach to the problem is to compute the slack at
each gate in the circuit, where the slack of a gate
corresponds to how much the gate can be slowed
down without affecting the critical delay of the
circuit.
C. Logic Level
We survey optimizations that reduce switching
activity power of logic-level combinational and
sequential circuits in- this section.
i. Combinational
Combinational logic optimization has traditionally
been decomposed into two phases: technology-
independent optimization and technology-
dependent optimization. In the first phase logic
equa- tions are manipulated to reduce area, delay
or power dissipation. In the second phase the
equations are mapped to a particular technology
library using technology mapping algorithms, again
optimizing for area, delay or power. For a
comprehensive treatment of combinational logic
synthesis methods targeting area and delay [11].
Don‟t-care Optimization: Any gate in a
combinational circuit has an associated
controllability and observability don‟t-care set. The
controllability don‟t-care set corresponds to the
input combinations that never occur at the gate
inputs. The observability don‟t-care set
corresponds to collections of input combinations
that produce the same values at the circuit outputs.
Methods to reduce circuit area and improve delay
exploiting don‟t- care sets have been presented in
[12]. The power dissipation of a gate is dependent
on the probability of the gate evaluating to a 1 or a
0. This probability can be changed by utilizing the
International Journal of Scientific Research in Science, Engineering and Technology (ijsrset.com)
372
don‟t-care sets. A method of don‟t- care
optimization to reduce switching activity and
therefore power dissipation was presented in [13].
This method was improved upon in [14 where the
effect of don‟t-care optimization of a particular gate
on the gates in its transitive fanout is considered.
Path Balancing: The delays of paths that converge
at each gate in the circuit should be nearly equal to
reduce spurious switching activity. By selectively
adding unit-delay buffers to the inputs of gates in a
circuit, the delays of all paths in the circuit can be
made equal. This addition will not increase the
critical delay of the circuit, and will effectively
eliminate spurious transitions. However, the
addition of buffers increases capacitance which
may offset the reduction in switching activity.
Design of a multiplier with transition reduction
circuitry that accomplishes glitch reduction by
path balancing is described in [15].
Factorization: Factorization of logical expressions is
primary means of technology-independent
optimization. Example, the expression ac + ad + bc
+ bd can be factored into (a + b) (c + d) reducing
transistor count considerably. Modified kernel
extraction methods that target switching activity
power are described in [16].
ii. Technology Mapping
Optimized logic equations are mapped into a target
library that contains optimized logic -gates in the
chosen technology. A typical library will contain
hundreds of gates with different transistor sizes.
Modern technology mapping methods use a graph
covering formulation, originally presented in [17],
to target area and delay cost functions. The graph
covering formulation of [17 has been extended to
the power cost function.
iii. Sequential
Encoding State encoding for minimal area is a well-
researched problem [18]. These methods have to be
modified to target a power cost function, namely,
weighted switching activity. Methods to encode
State Transition Graphs to produce two- level and
multilevel implementations with minimal power
are described in [16 and[19]. Encoding to reduce
switching activity in datapath logic has also been
the subject of attention. A method to minimize the
switching on buses is proposed in [20].
Retiming: Retiming [21is a well-known
optimization method that repositions the flip-flops
in a synchronous sequential circuit so as to
minimize the required clock period. A retiming
method that targets the power dissipation of a
sequential circuit is described in [22].
Clock Tree Optimization and Clock Gating: Rapid
scaling has two profound impacts. First, it enables
much higher degree of on-chip integration. The
number of transistors per chip will increase by
more than 2x per generation according to Moore's
law. Second, Interconnect has become the
dominating factor in determining circuit
performance and reliability in deep submicron
designs. The interconnect design will play the most
critical role in achieving the projected clock
frequencies
Clock distribution is crucial for timing and design
convergence. Minimum delay/power, zero skew
buffer insertion/ sizing and wire-sizing problems
have long been considered intractable .Most of the
power is consumed due to the high clock frequency
used for operating the device. Portions of the clock
tree that are not being used at any particular time
can be disabled to save the power.
iv. Pin Swapping
International Journal of Scientific Research in Science, Engineering and Technology (ijsrset.com)
373
Some cells can have input pins that are symmetric
with respect to the logic function (for example, in a
2-input NA ND gate the two input pins are
symmetric), but have different capacitance values.
Power can be reduced by assigning a higher
switching rate net to a lower capacitance pin
Power minimization techniques like pin swapping
is also called as local transformations [23]. They are
applied on gate netlists, and focus on nets with
large switched capacitance. Example: Consider 4
input NAND gate with different capacitance value
at the pin as show in the Figure 3. a high activity
net is connected to the pin no 4 that is pin “d”
which has the minimum input capacitance. To
achieve the minimum input capacitance pin
swapping is done between the pins “a” and “d”.
v. Power Shutoff or Power Gating
Power gating is the technique used to temporarily
turn off the sub blocks to reduce the overall
leakage power of the chip. This temporary
shutdown time can also call as “low power mode”
or “inactive mode”. When circuit blocks are
required for operation once again they are
activated to “active mode". These two modes are
switched at the appropriate time and in the suitable
manner to maximize power performance while
minimizing impact to performance. Thus goal of
power gating is to minimize leakage power by
temporarily cutting power off to selective blocks
that are not required in that mode.
D. Architectural and Behavioural level
i. Memory Splitting
Off-chip memory accesses are very expensive
power wise. Reordering of bus transactions (to
minimize signal transitions) can reduce overall
energy consumption. Number of bit flips on the
memory bus can be reduced by proper data
encoding or by scheduling bus transactions in the
order in which they would cause the minimal
signal changes.
ii. Operand Isolation
Redundant operations are identified and special
isolation circuitry is used to prevent switching
activity from propagating into a module whenever
it is about to perform a redundant operation.
E. System And Software Level
An increasing fraction of applications are being
implemented as embedded systems consisting of a
hardware and a software components. As major
part of the functionality is in the form of
instructions as opposed to gates, Hardware-based
power estimation and optimization approaches are
not completely applicable here. This motivates the
need to consider the power consumption in micro-
processors from the point of view of software.
Instruction-level power models are developed
successfully for some commercial CPUs. Given the
ability to evaluate programs in terms of
power/energy costs, it is possible to search the
design space in software power optimization. The
choice of the algorithm used can impact the power
cost since it determines the runtime complexity of
a program. This issue is explored in [24]. It has
been noted that the order of instructions can also
have an impact on power since it determines the
internal switching in the CPU.
CAD Methodologies and Technique: Today's EDA
tools effectively support these power- management
techniques [25]. They also provide additional
power savings during implementation. Low power
VLSI designs can be achieved at various levels of
International Journal of Scientific Research in Science, Engineering and Technology (ijsrset.com)
374
the design abstraction from algorithmic and
systemlevels down to layout and circuit levels.
IV. . CONCLUSION
High power consumption not only leads to
short battery life for hand-held devices but also
causes on-chip thermal and reliability problems
in general. The need for lower power systems
is being driven by many market segments.
As application demands increase toward more
power sensitive devices, new and novel
approaches are needed to meet those demands.
Several of these techniques can be used in unison
to provide the lowest power solution possible.
Sleep mode, clock gating, power gating, gate
level optimizations, low power libraries, low
power architectures and voltage scaling are all
proven low power techniques and should be
considered when architecting any new
application. Designing for low power adds
another dimension to the already complex design
problem; the design has to be optimized for Power
as well as Performance and Area. Optimizing the
three axes necessitates a new class of power
conscious CAD tools. We have surveyed power
optimizations applicable at various levels of
abstraction, Lowering power diss ipation at
all abstraction levels is a focus of intense academic
and industrial research. These methods are being
incorporated into state-of- the-art Computer-Aided
Design frameworks.
V. REFERENCES
[1]. Shekar Borkar, "Design Challenges of
Technology Scaling," IEEE Micro , July/August
1999, pg 23.
[2]. T. Inukai, et.al, “Boosted Gate MOS (BGMOS):
Device/Circuit Cooperation Scheme to Achieve
Leakage- Free Giga- Scale Integration,” Proc.
CICC 2000, pp.409-412.
[3]. Michael Keating, David Flynn, Robert Aitken,
Ala Gibsons and Kaijian Shi, “Low Power
Methodology Manual for System on Chip
Design”, Springer Publications, New York,
2007.
[4]. Creating Low-Power Digital Integrated Circuits
The Implementation Phase, Cadence, 2007.
[5]. C. Chen and M. Sarrafzadeh “A Efficient
Algorithm for Gate Level Power Delay Trade of
using Two Voltages”, International Conference
on Computer Design, pp. 222-227, October
1999.
[6]. J.M. Cheng and M. Pedram “Energy
Minimization using Multi Supply Voltage”,
IEEE Transaction on VLSI System, Vol. 5, No. 4,
pp. 1-8, December 1992.
[7]. Qqiang M Q and Evangeline, “Voltage island-
Driven Floor Planning”, Proceeding of IEEE,
pp. 644-649,2007.
[8]. P. J. Shah, P.P atil, V.M. Deshmukh and P. H.
Zope, “Low Power VLSI Design using Dynamic
Thershold logic”, Proceedings of SPIT-IEEE
Colloquium International Conference Mumbai,
Vol. 2, pp. 121.
[9]. Wong, M.D.F., “Low Power Design with Multi-
Vdd and Voltage Islands”, ASIC ASICON „07,
7th International Conference, pp. 1325-1325,
2007.
[10]. Li Shang, Li-Shiuan Peh, Niraj K. Jha, “Dynamic
Voltage Scaling with Links for Power
Optimization of Inter- connection Networks”,
The Ninth International Symposium on High-
Performance Computer Architecture, HPCA-9
2003, Proceedings, pp. 91-102, ISSN: 1530-0897,
12 February 2003.
[11]. S.Devadas, A.Ghosh, andK.Keutzer.
LogicSynthesis. McGraw Hill, New York, NY,
1994.
[12]. H. Savoj, R. Brayton, and H. Touati. Extracting
Local Don‟t- Cares for Network Optimization.
In Proceedings of the Interna- tional
International Journal of Scientific Research in Science, Engineering and Technology (ijsrset.com)
375
Conference on Computer-Aided Design, pages
514–517, November 1991.
[13]. A. Shen, S. Devadas, A. Ghosh, and K. Keutzer.
On Average Power Dissipation and Random
Pattern Testability of Combina- tional Logic
Circuits. In Proceedings of the Int‟l Conference
on Computer-Aided Design, pages 402–407,
November 1992.
[14]. S. Iman and M. Pedram. Multi-Level Network
Optimization for LowPower.
InProceedingsoftheInt‟lConferenceonComputer
- Aided Design, pages 371–377, November 1994.
[15]. C. Lemonds and S. S. Mahant Shetti. A Low
Power 16 by 16 Multiplier Using Transition
Reduction Circuitry. In Proceedings of the Int‟l
Workshop on Low Power Design, pages 139–
142, April 1994.
[16]. K. Roy and S. Prasad. SYCLOP: Synthesis of
CMOS Logic for Low Power Applications. In
Proceedings of the Int‟l Conference on
Computer Design: VLSI in Computers and
Processors, pages 464–467, October 1992.
[17]. K.Keutzer. DA GON:Technology Mapping
andLocalOptimiza- tion. In Proceedings of the
24th Design Automation Conference, pages
341–347, June 1987.
[18]. P. Ashar, S. Devadas, and A. R. Newton.
Sequential Logic Synthesis. KluwerAcademic
Publishers,Boston, Massachusetts, 1991.
[19]. C-Y. Tsui, M. Pedram, C-A. Chen, and A. M.
Despain. Low Power State Assignment
Targeting Two - and Multi-level Logic
Implementations. In Proceedings of the Int‟l
Conference on Computer-Aided Design, pages
82–87, November 1994.
[20]. M. Stan and W. Burleson. Limited-weight codes
for low- power I/O. In Proceedings of the Int‟l
Workshop on Low Power Design, pages 209–
214, April 1994.
[21]. C. E. Leiserson, F. M. Rose, and J. B. Saxe.
Optimizing Syn- chronous Circuitry by
Retiming. In Proceedings of 3rd CalTech
Conference on VLSI, pages 23–36, March 1983.
[22]. J. Mo nteiro, S. Devadas, and A. Ghosh.
Retiming Sequential Circuits for Low Power. In
Proceedings of the Int‟l Conference on
Computer-Aided Design, pages 398–402,
November 1993.
[23]. Seongmoo Heo and Krste Asanovi,
“Dynamically Resizable Static CMOS Logic for
Fine-Grain Leakage Reduction”, MIT CSAIL
Technical Report (MIT-LCS- TR-957), July
2004.
[24]. P. w. Ong and R. H. Yan. Power-conscious
software design - a framework for modeling
software on hardware. In Proceedings of 1994
IEEE Symposium on Low Power Electronics,
pages 36– 37, San Diego, CA, Oct. 1994.
[25]. Liu, Weidong, Xiaodong Jin, Xuemei Xi, James
Chen, Min-Chie Jeng, Zhihong Liu, Yuhua
Cheng, Kai Chen, Mansun Chan, Kelvin Hui,
Jianhui Huang, Robert Tu, Ping K Ko, and
Chenming Hu, BSIM3v3.3 MOSFET Model
User's Manual , Department of Electrical
Engineering and Computer Sciences, University
of California-Berkeley, 2005.

More Related Content

What's hot (20)

PPTX
Placement and algorithm.
Ashish Singh
 
PPTX
Library Characterization Flow
Satish Grandhi
 
PDF
VLSI-Physical Design- Tool Terminalogy
Murali Rai
 
PPTX
Multi mode multi corner (mmmc)
shaik sharief
 
PDF
Logic Synthesis
VandanaPagar1
 
PPTX
GUI for DRV fix in ICC2
Prashanth Chokkarapu
 
PPTX
Regular buffer v/s Clock buffer
kunal ghosh (vlsisystemdesign.com)
 
PPTX
System partitioning in VLSI and its considerations
Subash John
 
PPTX
Low power in vlsi with upf basics part 1
SUNODH GARLAPATI
 
PPTX
Asic design flow
yogeshwaran k
 
PDF
Implementing Useful Clock Skew Using Skew Groups
M Mei
 
DOCX
Vlsi interview questions compilation
Rajesh M
 
PDF
What's New in ANSYS RedHawk 2014
Ansys
 
PPTX
Physical design
Mantra VLSI
 
PDF
ASIC Design and Implementation
skerlj
 
PPT
Timing Analysis
rchovatiya
 
PPTX
Flip Chip technology
Mantra VLSI
 
PPT
Floor planning ppt
Thrinadh Komatipalli
 
PPTX
Clock distribution
Kaushal Panchal
 
PPTX
Vlsi physical design automation on partitioning
Sushil Kundu
 
Placement and algorithm.
Ashish Singh
 
Library Characterization Flow
Satish Grandhi
 
VLSI-Physical Design- Tool Terminalogy
Murali Rai
 
Multi mode multi corner (mmmc)
shaik sharief
 
Logic Synthesis
VandanaPagar1
 
GUI for DRV fix in ICC2
Prashanth Chokkarapu
 
Regular buffer v/s Clock buffer
kunal ghosh (vlsisystemdesign.com)
 
System partitioning in VLSI and its considerations
Subash John
 
Low power in vlsi with upf basics part 1
SUNODH GARLAPATI
 
Asic design flow
yogeshwaran k
 
Implementing Useful Clock Skew Using Skew Groups
M Mei
 
Vlsi interview questions compilation
Rajesh M
 
What's New in ANSYS RedHawk 2014
Ansys
 
Physical design
Mantra VLSI
 
ASIC Design and Implementation
skerlj
 
Timing Analysis
rchovatiya
 
Flip Chip technology
Mantra VLSI
 
Floor planning ppt
Thrinadh Komatipalli
 
Clock distribution
Kaushal Panchal
 
Vlsi physical design automation on partitioning
Sushil Kundu
 

Similar to Analysis Of Optimization Techniques For Low Power VLSI Design (20)

PDF
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
Nathan Mathis
 
PPTX
LOW POWER DESIGN VLSI
Duronto riyad
 
PDF
Analysis Of Power Dissipation Amp Low Power VLSI Chip Design
Bryce Nelson
 
PDF
Analysis of Power Dissipation & Low Power VLSI Chip Design
Editor IJMTER
 
PDF
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
VLSICS Design
 
PDF
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
VLSICS Design
 
PDF
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
VLSICS Design
 
PDF
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
VLSICS Design
 
PDF
Optimized Design of an Alu Block Using Power Gating Technique
IJERA Editor
 
PPT
5378086.ppt
kavita417551
 
PDF
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
Anil Yadav
 
PDF
Low power embedded system design
Sri Manakula Vinayagar Engineering College
 
PDF
CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGN
VLSICS Design
 
PPT
Low power vlsi design
Vinchipsytm Vlsitraining
 
PPTX
Low power
preeti banra
 
PDF
Bc36330333
IJERA Editor
 
PPTX
Power dissipation cmos
Rajesh Tiwary
 
PDF
Advanced Low Power Techniques in Chip Design
Dr. Shivananda Koteshwar
 
PPT
Low power vlsi design
VinChip Systems - VinTrain VLSI Academy
 
PDF
A Survey on Low Power VLSI Designs
IJEEE
 
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
Nathan Mathis
 
LOW POWER DESIGN VLSI
Duronto riyad
 
Analysis Of Power Dissipation Amp Low Power VLSI Chip Design
Bryce Nelson
 
Analysis of Power Dissipation & Low Power VLSI Chip Design
Editor IJMTER
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
VLSICS Design
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
VLSICS Design
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
VLSICS Design
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
VLSICS Design
 
Optimized Design of an Alu Block Using Power Gating Technique
IJERA Editor
 
5378086.ppt
kavita417551
 
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
Anil Yadav
 
Low power embedded system design
Sri Manakula Vinayagar Engineering College
 
CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGN
VLSICS Design
 
Low power vlsi design
Vinchipsytm Vlsitraining
 
Low power
preeti banra
 
Bc36330333
IJERA Editor
 
Power dissipation cmos
Rajesh Tiwary
 
Advanced Low Power Techniques in Chip Design
Dr. Shivananda Koteshwar
 
A Survey on Low Power VLSI Designs
IJEEE
 
Ad

More from Amy Cernava (20)

PDF
What Should I Write My College Essay About 15
Amy Cernava
 
PDF
A New Breakdown Of. Online assignment writing service.
Amy Cernava
 
PDF
Evaluative Writing. 6 Ways To Evaluate. Online assignment writing service.
Amy Cernava
 
PDF
General Water. Online assignment writing service.
Amy Cernava
 
PDF
Essay Websites Sample Parent Essays For Private Hi
Amy Cernava
 
PDF
How To Write About Myself Examples - Coverletterpedia
Amy Cernava
 
PDF
Punctuating Titles MLA Printable Classroom Posters Quotations
Amy Cernava
 
PDF
Essay Introductions For Kids. Online assignment writing service.
Amy Cernava
 
PDF
Writing Creative Essays - College Homework Help A
Amy Cernava
 
PDF
Free Printable Primary Paper Te. Online assignment writing service.
Amy Cernava
 
PDF
Diversity Essay Sample Graduate School Which Ca
Amy Cernava
 
PDF
Large Notepad - Heart Border Writing Paper Print
Amy Cernava
 
PDF
Personal Challenges Essay. Online assignment writing service.
Amy Cernava
 
PDF
Buy College Application Essays Dos And Dont
Amy Cernava
 
PDF
8 Printable Outline Template - SampleTemplatess - Sa
Amy Cernava
 
PDF
Analytical Essay Intro Example. Online assignment writing service.
Amy Cernava
 
PDF
Types Of Essay And Examples. 4 Major Types O
Amy Cernava
 
PDF
026 Describe Yourself Essay Example Introduce Myself
Amy Cernava
 
PDF
Term Paper Introduction Help - How To Write An Intr
Amy Cernava
 
PDF
Analysis Of Students Critical Thinking Skill Of Middle School Through STEM E...
Amy Cernava
 
What Should I Write My College Essay About 15
Amy Cernava
 
A New Breakdown Of. Online assignment writing service.
Amy Cernava
 
Evaluative Writing. 6 Ways To Evaluate. Online assignment writing service.
Amy Cernava
 
General Water. Online assignment writing service.
Amy Cernava
 
Essay Websites Sample Parent Essays For Private Hi
Amy Cernava
 
How To Write About Myself Examples - Coverletterpedia
Amy Cernava
 
Punctuating Titles MLA Printable Classroom Posters Quotations
Amy Cernava
 
Essay Introductions For Kids. Online assignment writing service.
Amy Cernava
 
Writing Creative Essays - College Homework Help A
Amy Cernava
 
Free Printable Primary Paper Te. Online assignment writing service.
Amy Cernava
 
Diversity Essay Sample Graduate School Which Ca
Amy Cernava
 
Large Notepad - Heart Border Writing Paper Print
Amy Cernava
 
Personal Challenges Essay. Online assignment writing service.
Amy Cernava
 
Buy College Application Essays Dos And Dont
Amy Cernava
 
8 Printable Outline Template - SampleTemplatess - Sa
Amy Cernava
 
Analytical Essay Intro Example. Online assignment writing service.
Amy Cernava
 
Types Of Essay And Examples. 4 Major Types O
Amy Cernava
 
026 Describe Yourself Essay Example Introduce Myself
Amy Cernava
 
Term Paper Introduction Help - How To Write An Intr
Amy Cernava
 
Analysis Of Students Critical Thinking Skill Of Middle School Through STEM E...
Amy Cernava
 
Ad

Recently uploaded (20)

PDF
Federal dollars withheld by district, charter, grant recipient
Mebane Rash
 
PDF
The-Beginnings-of-Indian-Civilisation.pdf/6th class new ncert social/by k san...
Sandeep Swamy
 
PDF
community health nursing question paper 2.pdf
Prince kumar
 
PPTX
Capitol Doctoral Presentation -July 2025.pptx
CapitolTechU
 
PPTX
How to Configure Storno Accounting in Odoo 18 Accounting
Celine George
 
PPTX
How to Define Translation to Custom Module And Add a new language in Odoo 18
Celine George
 
PPTX
How to Configure Prepayments in Odoo 18 Sales
Celine George
 
PPT
digestive system for Pharm d I year HAP
rekhapositivity
 
PPTX
LEGAL ASPECTS OF PSYCHIATRUC NURSING.pptx
PoojaSen20
 
PPTX
Gall bladder, Small intestine and Large intestine.pptx
rekhapositivity
 
PPTX
HEAD INJURY IN CHILDREN: NURSING MANAGEMENGT.pptx
PRADEEP ABOTHU
 
PPTX
SCHOOL-BASED SEXUAL HARASSMENT PREVENTION AND RESPONSE WORKSHOP
komlalokoe
 
PPTX
Optimizing Cancer Screening With MCED Technologies: From Science to Practical...
i3 Health
 
PPTX
2025 Winter SWAYAM NPTEL & A Student.pptx
Utsav Yagnik
 
PPTX
CONVULSIVE DISORDERS: NURSING MANAGEMENT.pptx
PRADEEP ABOTHU
 
PDF
CEREBRAL PALSY: NURSING MANAGEMENT .pdf
PRADEEP ABOTHU
 
PPTX
How to Configure Lost Reasons in Odoo 18 CRM
Celine George
 
PDF
IMP NAAC REFORMS 2024 - 10 Attributes.pdf
BHARTIWADEKAR
 
PDF
DIGESTION OF CARBOHYDRATES,PROTEINS,LIPIDS
raviralanaresh2
 
PPTX
ROLE OF ANTIOXIDANT IN EYE HEALTH MANAGEMENT.pptx
Subham Panja
 
Federal dollars withheld by district, charter, grant recipient
Mebane Rash
 
The-Beginnings-of-Indian-Civilisation.pdf/6th class new ncert social/by k san...
Sandeep Swamy
 
community health nursing question paper 2.pdf
Prince kumar
 
Capitol Doctoral Presentation -July 2025.pptx
CapitolTechU
 
How to Configure Storno Accounting in Odoo 18 Accounting
Celine George
 
How to Define Translation to Custom Module And Add a new language in Odoo 18
Celine George
 
How to Configure Prepayments in Odoo 18 Sales
Celine George
 
digestive system for Pharm d I year HAP
rekhapositivity
 
LEGAL ASPECTS OF PSYCHIATRUC NURSING.pptx
PoojaSen20
 
Gall bladder, Small intestine and Large intestine.pptx
rekhapositivity
 
HEAD INJURY IN CHILDREN: NURSING MANAGEMENGT.pptx
PRADEEP ABOTHU
 
SCHOOL-BASED SEXUAL HARASSMENT PREVENTION AND RESPONSE WORKSHOP
komlalokoe
 
Optimizing Cancer Screening With MCED Technologies: From Science to Practical...
i3 Health
 
2025 Winter SWAYAM NPTEL & A Student.pptx
Utsav Yagnik
 
CONVULSIVE DISORDERS: NURSING MANAGEMENT.pptx
PRADEEP ABOTHU
 
CEREBRAL PALSY: NURSING MANAGEMENT .pdf
PRADEEP ABOTHU
 
How to Configure Lost Reasons in Odoo 18 CRM
Celine George
 
IMP NAAC REFORMS 2024 - 10 Attributes.pdf
BHARTIWADEKAR
 
DIGESTION OF CARBOHYDRATES,PROTEINS,LIPIDS
raviralanaresh2
 
ROLE OF ANTIOXIDANT IN EYE HEALTH MANAGEMENT.pptx
Subham Panja
 

Analysis Of Optimization Techniques For Low Power VLSI Design

  • 1. IJSRSET1844137 | Received : 10 March | Accepted : 18 March 2018 | March-April-2018 [(4) 4 : 369-375] © 2018 IJSRSET | Volume 4 | Issue 4 | Print ISSN: 2395-1990 | Online ISSN : 2394-4099 Themed Section : Engineering and Technology 369 Analysis of Optimization Techniques for Low Power VLSI Design A.Deepika, Y. Priyanka Asst.Prof, TKRCET, Hyderabad, Telangana, India ABSTRACT With shrinking technology, as power density (measured in watts per square millimetre) is raising at an alarming rate, power management is becoming an important aspect for almost every category of design and application. Reducing power consumption and over all on chip power management are the key challenges in deep sub micro meter nodes due to increased complexity. Power management needs to be considered at very early design stages. Also low-power techniques should to be employed at every design stage, from RTL (Register Transfer Level) to GDSII. This survey paper describes the various strategies, methodologies and power management techniques for low power VLSI circuits. Future challenges that must be met by designers to designs low power high performance circuits are also discussed. State-of-the-art optimization methods at different abstraction levels that target design of low power digital VLSI circuits are surveyed. Keywords: Optimization, Low Power, Power Dissipation, Power Management I. INTRODUCTION In the past decades, the main focus of VLSI designers were performance, area and design cost. Power consumption was mostly of only secondary importance relatively. However, this trend has begun to change and, with major priority, power consumption is given comparable importance to speed and area.The advantage of utilizing combination of low-power design techniques in conjunction with low-power components is more valuable now. Heat generation in high-end computer products limits the feasible IC packaging and performance of circuits and thus increases the packaging and cooling costs. Heat pumped into the room, the electricity consumed and the office noise diminishes with low power VLSI chipset. Requirements for lower power consumption continue to increase significantly as components become battery-powered, compact and require complex functionality. At sub micro meter process nodes, leakage power consumption has joined switching activity as a primary power management concern. II. RELATED CONCEPTS A. Power Dissipation Basics Total power consumption by a CMOS device is given by, Pdissipation = Pstatic + Pdynamic + Pshort circuit..... (a) Dynamic power or switching power is power dissipated during charging or discharging of capacitors and is described below[1] [2]. Pdyn = CL* Vdd2 *α * f ................................ (b) Where CL : Load Capacitance is a function of fan- out, wirelength, and transistor size, Vdd: Supply Voltage, which has been dropping with successive process nodes, α: Activity Factor f :Clock Frequency, which is increasing at each successive process node. Short-circuit power dissipation occurs due to short circuit current(Isc) that flows when both the NMOS and PMOS
  • 2. International Journal of Scientific Research in Science, Engineering and Technology (ijsrset.com) 370 devices are simultaneously ‘on’ for a short time duration and is given by the below equation, Figure 1: Power Dissipation in CMOS where Vth is threshold voltage, ‘W’ is transistor width and ‘L’ is transistor length Figure-1 shows the various components responsible for power dissipation in CMOS. B. Low Power Strategies Low power designs strategies at various abstraction levels are listed in table 1. Effective power management is possible by using the different strategies at various levels in VLSI Design process. So designers need an intelligent approach for optimizing power consumptions in designs. C. Power Optimization Techniques Table-2 describes low power techniques used at different levels [3][4]. Table 2: Few low power techniques used today III. POWER MANAGMENT STRAGTEGIES Effective power management involves selection of the right technology, the use of optimized libraries, IP (intellectual property), and design methodology [3].We survey state-of-art optimization methods at different abstraction levels. Inventory Management), POS (Point of Service), ReSA (Retail Sales Audit) and RIB (Retail Integration Bus). A. Technology Level Proper technology selection is one of the key aspects of power management [3]. The goal of each technology advancement is to improve performance, density, and power consumption more generalized form of scaling is used. i. Using Multi-threshold Voltage Multi-threshold CMOS (MTCMOS)is a method to reduce standby leakage current in the circuit, with the use of a high threshold apply to the MOS device to de- couple the logic either fro m the supply or ground during long idle periods, or sleep states. The Figure 2 shows a MTCMOS circuit, where the logic block is constructed using low threshold devices and the Power/ground supply given to the gate of the of the MTCOMS is a gated by high threshold header/footer switch[5]. Figure 2: MTCMOS Circuit
  • 3. International Journal of Scientific Research in Science, Engineering and Technology (ijsrset.com) 371 ii. Multi-supply Voltage (Voltage Islands) Multi-Vdd is an effective method to reduce both leakage and dynamic power, by assigning different supply voltages to cells according to their timing criticality. In a multi-Vdd design, cells of different supply voltage are often grouped into small number of voltage islands (each having a single supply voltage), in order to avoid complex power supply system and excessive amount of level shifters. Low power design methodology which manages power, timing and design cost by using multi-Vdd and voltage islands has to be developed [5[6]. iii. Dynamic Voltage and Frequency Scaling It allows host to dynamically switch its CPU frequency depending on its load requirement. CPU utilization is continuously monitored with the DVFS algorithm determining any necessary adjustment to the CPU‟s frequency with the goal being to run the CPU at a lower frequency so that it consumes less power. Example: If 2 GHz CPU is sitting at 30% utilization then DVFS will reduce the frequency of the CPU so it will operate nearer to its 600MHz frequency requirement including enough headroom to accommodate a sudden increase in CPU requirement[7][8][9][10]. B. Circuit Level Transistor Sizing: The length-to-width ratio of transistors determines the driving strength and speed.On upsizing, gate delay decreases, however, power dissipated in the gate increases. Further, the delay of the fanin gates increases because of increased load capacitance. Given a delay constraint, finding an appropriate sizing of transistors that minimizes power dissipation is a computationally difficult problem. A typical approach to the problem is to compute the slack at each gate in the circuit, where the slack of a gate corresponds to how much the gate can be slowed down without affecting the critical delay of the circuit. C. Logic Level We survey optimizations that reduce switching activity power of logic-level combinational and sequential circuits in- this section. i. Combinational Combinational logic optimization has traditionally been decomposed into two phases: technology- independent optimization and technology- dependent optimization. In the first phase logic equa- tions are manipulated to reduce area, delay or power dissipation. In the second phase the equations are mapped to a particular technology library using technology mapping algorithms, again optimizing for area, delay or power. For a comprehensive treatment of combinational logic synthesis methods targeting area and delay [11]. Don‟t-care Optimization: Any gate in a combinational circuit has an associated controllability and observability don‟t-care set. The controllability don‟t-care set corresponds to the input combinations that never occur at the gate inputs. The observability don‟t-care set corresponds to collections of input combinations that produce the same values at the circuit outputs. Methods to reduce circuit area and improve delay exploiting don‟t- care sets have been presented in [12]. The power dissipation of a gate is dependent on the probability of the gate evaluating to a 1 or a 0. This probability can be changed by utilizing the
  • 4. International Journal of Scientific Research in Science, Engineering and Technology (ijsrset.com) 372 don‟t-care sets. A method of don‟t- care optimization to reduce switching activity and therefore power dissipation was presented in [13]. This method was improved upon in [14 where the effect of don‟t-care optimization of a particular gate on the gates in its transitive fanout is considered. Path Balancing: The delays of paths that converge at each gate in the circuit should be nearly equal to reduce spurious switching activity. By selectively adding unit-delay buffers to the inputs of gates in a circuit, the delays of all paths in the circuit can be made equal. This addition will not increase the critical delay of the circuit, and will effectively eliminate spurious transitions. However, the addition of buffers increases capacitance which may offset the reduction in switching activity. Design of a multiplier with transition reduction circuitry that accomplishes glitch reduction by path balancing is described in [15]. Factorization: Factorization of logical expressions is primary means of technology-independent optimization. Example, the expression ac + ad + bc + bd can be factored into (a + b) (c + d) reducing transistor count considerably. Modified kernel extraction methods that target switching activity power are described in [16]. ii. Technology Mapping Optimized logic equations are mapped into a target library that contains optimized logic -gates in the chosen technology. A typical library will contain hundreds of gates with different transistor sizes. Modern technology mapping methods use a graph covering formulation, originally presented in [17], to target area and delay cost functions. The graph covering formulation of [17 has been extended to the power cost function. iii. Sequential Encoding State encoding for minimal area is a well- researched problem [18]. These methods have to be modified to target a power cost function, namely, weighted switching activity. Methods to encode State Transition Graphs to produce two- level and multilevel implementations with minimal power are described in [16 and[19]. Encoding to reduce switching activity in datapath logic has also been the subject of attention. A method to minimize the switching on buses is proposed in [20]. Retiming: Retiming [21is a well-known optimization method that repositions the flip-flops in a synchronous sequential circuit so as to minimize the required clock period. A retiming method that targets the power dissipation of a sequential circuit is described in [22]. Clock Tree Optimization and Clock Gating: Rapid scaling has two profound impacts. First, it enables much higher degree of on-chip integration. The number of transistors per chip will increase by more than 2x per generation according to Moore's law. Second, Interconnect has become the dominating factor in determining circuit performance and reliability in deep submicron designs. The interconnect design will play the most critical role in achieving the projected clock frequencies Clock distribution is crucial for timing and design convergence. Minimum delay/power, zero skew buffer insertion/ sizing and wire-sizing problems have long been considered intractable .Most of the power is consumed due to the high clock frequency used for operating the device. Portions of the clock tree that are not being used at any particular time can be disabled to save the power. iv. Pin Swapping
  • 5. International Journal of Scientific Research in Science, Engineering and Technology (ijsrset.com) 373 Some cells can have input pins that are symmetric with respect to the logic function (for example, in a 2-input NA ND gate the two input pins are symmetric), but have different capacitance values. Power can be reduced by assigning a higher switching rate net to a lower capacitance pin Power minimization techniques like pin swapping is also called as local transformations [23]. They are applied on gate netlists, and focus on nets with large switched capacitance. Example: Consider 4 input NAND gate with different capacitance value at the pin as show in the Figure 3. a high activity net is connected to the pin no 4 that is pin “d” which has the minimum input capacitance. To achieve the minimum input capacitance pin swapping is done between the pins “a” and “d”. v. Power Shutoff or Power Gating Power gating is the technique used to temporarily turn off the sub blocks to reduce the overall leakage power of the chip. This temporary shutdown time can also call as “low power mode” or “inactive mode”. When circuit blocks are required for operation once again they are activated to “active mode". These two modes are switched at the appropriate time and in the suitable manner to maximize power performance while minimizing impact to performance. Thus goal of power gating is to minimize leakage power by temporarily cutting power off to selective blocks that are not required in that mode. D. Architectural and Behavioural level i. Memory Splitting Off-chip memory accesses are very expensive power wise. Reordering of bus transactions (to minimize signal transitions) can reduce overall energy consumption. Number of bit flips on the memory bus can be reduced by proper data encoding or by scheduling bus transactions in the order in which they would cause the minimal signal changes. ii. Operand Isolation Redundant operations are identified and special isolation circuitry is used to prevent switching activity from propagating into a module whenever it is about to perform a redundant operation. E. System And Software Level An increasing fraction of applications are being implemented as embedded systems consisting of a hardware and a software components. As major part of the functionality is in the form of instructions as opposed to gates, Hardware-based power estimation and optimization approaches are not completely applicable here. This motivates the need to consider the power consumption in micro- processors from the point of view of software. Instruction-level power models are developed successfully for some commercial CPUs. Given the ability to evaluate programs in terms of power/energy costs, it is possible to search the design space in software power optimization. The choice of the algorithm used can impact the power cost since it determines the runtime complexity of a program. This issue is explored in [24]. It has been noted that the order of instructions can also have an impact on power since it determines the internal switching in the CPU. CAD Methodologies and Technique: Today's EDA tools effectively support these power- management techniques [25]. They also provide additional power savings during implementation. Low power VLSI designs can be achieved at various levels of
  • 6. International Journal of Scientific Research in Science, Engineering and Technology (ijsrset.com) 374 the design abstraction from algorithmic and systemlevels down to layout and circuit levels. IV. . CONCLUSION High power consumption not only leads to short battery life for hand-held devices but also causes on-chip thermal and reliability problems in general. The need for lower power systems is being driven by many market segments. As application demands increase toward more power sensitive devices, new and novel approaches are needed to meet those demands. Several of these techniques can be used in unison to provide the lowest power solution possible. Sleep mode, clock gating, power gating, gate level optimizations, low power libraries, low power architectures and voltage scaling are all proven low power techniques and should be considered when architecting any new application. Designing for low power adds another dimension to the already complex design problem; the design has to be optimized for Power as well as Performance and Area. Optimizing the three axes necessitates a new class of power conscious CAD tools. We have surveyed power optimizations applicable at various levels of abstraction, Lowering power diss ipation at all abstraction levels is a focus of intense academic and industrial research. These methods are being incorporated into state-of- the-art Computer-Aided Design frameworks. V. REFERENCES [1]. Shekar Borkar, "Design Challenges of Technology Scaling," IEEE Micro , July/August 1999, pg 23. [2]. T. Inukai, et.al, “Boosted Gate MOS (BGMOS): Device/Circuit Cooperation Scheme to Achieve Leakage- Free Giga- Scale Integration,” Proc. CICC 2000, pp.409-412. [3]. Michael Keating, David Flynn, Robert Aitken, Ala Gibsons and Kaijian Shi, “Low Power Methodology Manual for System on Chip Design”, Springer Publications, New York, 2007. [4]. Creating Low-Power Digital Integrated Circuits The Implementation Phase, Cadence, 2007. [5]. C. Chen and M. Sarrafzadeh “A Efficient Algorithm for Gate Level Power Delay Trade of using Two Voltages”, International Conference on Computer Design, pp. 222-227, October 1999. [6]. J.M. Cheng and M. Pedram “Energy Minimization using Multi Supply Voltage”, IEEE Transaction on VLSI System, Vol. 5, No. 4, pp. 1-8, December 1992. [7]. Qqiang M Q and Evangeline, “Voltage island- Driven Floor Planning”, Proceeding of IEEE, pp. 644-649,2007. [8]. P. J. Shah, P.P atil, V.M. Deshmukh and P. H. Zope, “Low Power VLSI Design using Dynamic Thershold logic”, Proceedings of SPIT-IEEE Colloquium International Conference Mumbai, Vol. 2, pp. 121. [9]. Wong, M.D.F., “Low Power Design with Multi- Vdd and Voltage Islands”, ASIC ASICON „07, 7th International Conference, pp. 1325-1325, 2007. [10]. Li Shang, Li-Shiuan Peh, Niraj K. Jha, “Dynamic Voltage Scaling with Links for Power Optimization of Inter- connection Networks”, The Ninth International Symposium on High- Performance Computer Architecture, HPCA-9 2003, Proceedings, pp. 91-102, ISSN: 1530-0897, 12 February 2003. [11]. S.Devadas, A.Ghosh, andK.Keutzer. LogicSynthesis. McGraw Hill, New York, NY, 1994. [12]. H. Savoj, R. Brayton, and H. Touati. Extracting Local Don‟t- Cares for Network Optimization. In Proceedings of the Interna- tional
  • 7. International Journal of Scientific Research in Science, Engineering and Technology (ijsrset.com) 375 Conference on Computer-Aided Design, pages 514–517, November 1991. [13]. A. Shen, S. Devadas, A. Ghosh, and K. Keutzer. On Average Power Dissipation and Random Pattern Testability of Combina- tional Logic Circuits. In Proceedings of the Int‟l Conference on Computer-Aided Design, pages 402–407, November 1992. [14]. S. Iman and M. Pedram. Multi-Level Network Optimization for LowPower. InProceedingsoftheInt‟lConferenceonComputer - Aided Design, pages 371–377, November 1994. [15]. C. Lemonds and S. S. Mahant Shetti. A Low Power 16 by 16 Multiplier Using Transition Reduction Circuitry. In Proceedings of the Int‟l Workshop on Low Power Design, pages 139– 142, April 1994. [16]. K. Roy and S. Prasad. SYCLOP: Synthesis of CMOS Logic for Low Power Applications. In Proceedings of the Int‟l Conference on Computer Design: VLSI in Computers and Processors, pages 464–467, October 1992. [17]. K.Keutzer. DA GON:Technology Mapping andLocalOptimiza- tion. In Proceedings of the 24th Design Automation Conference, pages 341–347, June 1987. [18]. P. Ashar, S. Devadas, and A. R. Newton. Sequential Logic Synthesis. KluwerAcademic Publishers,Boston, Massachusetts, 1991. [19]. C-Y. Tsui, M. Pedram, C-A. Chen, and A. M. Despain. Low Power State Assignment Targeting Two - and Multi-level Logic Implementations. In Proceedings of the Int‟l Conference on Computer-Aided Design, pages 82–87, November 1994. [20]. M. Stan and W. Burleson. Limited-weight codes for low- power I/O. In Proceedings of the Int‟l Workshop on Low Power Design, pages 209– 214, April 1994. [21]. C. E. Leiserson, F. M. Rose, and J. B. Saxe. Optimizing Syn- chronous Circuitry by Retiming. In Proceedings of 3rd CalTech Conference on VLSI, pages 23–36, March 1983. [22]. J. Mo nteiro, S. Devadas, and A. Ghosh. Retiming Sequential Circuits for Low Power. In Proceedings of the Int‟l Conference on Computer-Aided Design, pages 398–402, November 1993. [23]. Seongmoo Heo and Krste Asanovi, “Dynamically Resizable Static CMOS Logic for Fine-Grain Leakage Reduction”, MIT CSAIL Technical Report (MIT-LCS- TR-957), July 2004. [24]. P. w. Ong and R. H. Yan. Power-conscious software design - a framework for modeling software on hardware. In Proceedings of 1994 IEEE Symposium on Low Power Electronics, pages 36– 37, San Diego, CA, Oct. 1994. [25]. Liu, Weidong, Xiaodong Jin, Xuemei Xi, James Chen, Min-Chie Jeng, Zhihong Liu, Yuhua Cheng, Kai Chen, Mansun Chan, Kelvin Hui, Jianhui Huang, Robert Tu, Ping K Ko, and Chenming Hu, BSIM3v3.3 MOSFET Model User's Manual , Department of Electrical Engineering and Computer Sciences, University of California-Berkeley, 2005.